Timothy M. Pinkston

From Wikipedia the free encyclopedia

Timothy Mark Pinkston
Born (1964-03-15) March 15, 1964 (age 60)
AwardsACM Fellow
IEEE Fellow
Distinguished Alumnus Award (OSU)
Academic background
EducationB.Sc., Electrical Engineering
M.Sc., Electrical Engineering
Ph.D., Electrical Engineering
Alma materOhio State University
Stanford University
Doctoral advisorMichael J. Flynn (primary)
Joseph W. Goodman (secondary)
Academic work
DisciplineElectrical and Computer Engineering
InstitutionsUniversity of Southern California

Timothy M. Pinkston is an American computer engineer, researcher, educator and administrator whose work is focused in the area of computer architecture. He holds the George Pfleger Chair in Electrical and Computer Engineering and is a Professor of Electrical and Computer Engineering at University of Southern California (USC). He also serves in an administrative role as Vice Dean for Faculty Affairs at the USC Viterbi School of Engineering.[1]

Pinkston's computer architecture research focuses on the design of interconnection networks for many-core and multiprocessor computer systems. His research contributions span formal theory, methods, and techniques for abating interconnection network routing inefficiencies and preventing deadlock. He has contributed to development of solutions to network deadlocking phenomena, including routing-induced, protocol (message)-induced, and reconfiguration-induced deadlocks. He has also developed energy-, resource-, and performance-efficient network-on-chip (NoC) designs.[2]

In 2009, Pinkston became an IEEE Fellow (Institute of Electrical and Electronics Engineers) "for contributions to design and analysis of interconnection networks and routing algorithms."[3] In 2019, Pinkston became an ACM Fellow (Association for Computing Machinery) "for contributions to interconnection network routing algorithms and architectures, and leadership in expanding computing research.[4] Pinkston is the first African American to become a tenured faculty member with primary appointment in engineering and the first African American to hold a decanal administrative faculty position in engineering in USC's history.[5][6]

Education[edit]

Pinkston earned a Bachelor of Science in Electrical Engineering in 1985 from Ohio State University. He then went on to earn an M.S. in Electrical Engineering in 1986 and a Ph.D. in Electrical Engineering in 1993, both from Stanford University.[1] The title of his Ph.D. thesis is The GLORI Strategy for Multiprocessors: Integrating Optics into the Interconnect Architecture.[7]

Career[edit]

Prior to embarking on a professorial career in academia, Pinkston was a Member of Technical Staff at AT&T Bell Laboratories, a Research Intern at IBM T. J. Watson Research Laboratories, and a Hughes Doctoral Fellow and Research Staff at Hughes Research Laboratories (HRL). In 1993, Pinkston joined the University of Southern California as an Assistant Professor and promoted to the ranks of Associate Professor in 1999 and full Professor in 2003. From 2003 to 2005, he served as the Director of the Computer Engineering Division of Electrical Engineering-Systems at USC. In 2009, Pinkston was appointed as the Senior Associate Dean of Engineering of the USC Viterbi School of Engineering[8] and, in 2011, became the Vice Dean for Faculty Affairs in the Viterbi School.[9] In 2017, Pinkston was named holder of the Louise L. Dunn Endowed Professorship in Engineering,[10] and in 2019, he was named holder of the George Pfleger Chair in Electrical and Computer Engineering.[1]

At USC, Pinkston founded the Superior Multiprocessor Architecture (SMART) Interconnects Group which investigates high-performance communication architectures for parallel computer systems—interconnection networks, adaptive and reconfigurable routing algorithms, router design and implementation, and energy- and resource-efficient NoCs.[11] Pinkston was the lead co-author of "Interconnection Networks", a chapter appearing as Appendix E in the 4th edition and as Appendix F in the 5th and 6th editions of the textbook Computer Architecture: A Quantitative Approach.[12] He served as the founding Lead Program Director of the National Science Foundation’s Expeditions in Computing program in 2007–2008.[13] Before that, he served two years as NSF's CISE CCF Program Director for the Computer Systems Architecture area[14] and co-established the Multicore Chip Design and Architecture (MCDA) program, co-funded by SRC.[15]

Pinkston served as an Associate Editor of IEEE Transactions on Parallel and Distributed Systems (TPDS) from 1999 to 2002, a member of the Executive Committee of the IEEE Technical Committee on Computer Architecture (TCCA) from 2010–2015,[16] and a founding member of the SIGARCH/SIGMICRO Committee to Aid Reporting on Discrimination and Harassment Policy Violations (CARES) since 2018.[17]

Research[edit]

In collaboration with his SMART group members, Pinkston conducted deadlock characterization studies that revealed how infrequently, and under what conditions, deadlocks can form and be resolved in interconnection networks, giving credence to deadlock recovery-based routing as a viable alternative to deadlock avoidance-based routing.[18] He and his collaborators investigated deadlock-free routing techniques that improve understanding of various approaches to resolve potential deadlocks, including regressive-based, deflective-based, and progressive-based recovery routing algorithms and architectures.[19][20][21]

Pinkston, with his collaborators, developed general theory for designing routing algorithms applicable to recovery-based as well as avoidance-based (preventative) approaches and developed a theoretical framework and design methodology for deadlock-free dynamic reconfiguration of routing algorithms—to tolerate network faults, hot-swapping, and other changes in interconnectivity that can cause reconfiguration-induced deadlocks—with minimal packet loss, high throughput, and improved resiliency.[22][23] Pinkston also led the development of design methodologies and router architectures for energy-, resource-, and performance-efficient on-chip networks (NoCs). With SMART group members, he was among the first to explore architectural support for effectively applying power-saving techniques, such as power gating, to NoCs for reducing static power consumption in computer systems.[24]

Philanthropy[edit]

With an endowment gift from Pinkston, The Ohio State University has established the Pinkston Family Achievement Award Fund, which annually awards scholarships to students in the Lambda Psi minority engineering honorary who are performing at the highest academic levels, as well as to a Minority Engineering Program (MEP) student with the most-improved performance. It also supports Ohio State's Academic Coaching in Engineering (ACE) Program which offers tutoring and study strategy instruction to MEP students in OSU's College of Engineering.[25]

Awards and honors[edit]

  • 1984 - GEM Fellowship Award
  • 1989 - Hughes Doctoral Fellowship Award
  • 1994 - NSF Minority Research Initiation Award
  • 1996 - NSF CAREER Award
  • 2003 - ACM Recognition of Service Award
  • 2005 - Distinguished Alumnus Award from the College of Engineering and the Minority Engineering Program (MEP), The Ohio State University
  • 2009 - Fellow, IEEE
  • 2018 - ACM Recognition of Service Award
  • 2018 - IEEE Computer Society Recognition of Service Award
  • 2019 - Fellow, ACM

Selected publications[edit]

  • “An efficient, fully adaptive deadlock recovery scheme: DISHA,” K. V. Anjan and T. M. Pinkston, in Proceedings of the 22nd ACM/IEEE Annual International Symposium on Computer Architecture (ISCA), pp. 201–210, 1995.
  • “On deadlocks in interconnection networks,” S. Warnakulasuriya and T. M. Pinkston, in Proceedings of the 24th ACM/IEEE Annual International Symposium on Computer Architecture (ISCA), pp. 38–49, 1997.
  • “A general theory for deadlock-free adaptive routing using a mixed set of resources,” J. Duato and T. M. Pinkston, IEEE Transactions on Parallel and Distributed Systems, 12(12), pp. 1219–1235, 2001.
  • “A methodology for designing efficient on-chip interconnects on well-behaved communication patterns,” W. H. Ho and T. M. Pinkston, in Proceedings of the 9th IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 377–388, 2003.
  • “A Progressive Approach to Handling Message-Dependent Deadlocks in Parallel Computer Systems,” Y. H. Song and T. M. Pinkston, in IEEE Transactions on Parallel and Distributed Systems, 14(3), pp. 259–275, 2003.
  • “Deadlock-free Dynamic Reconfiguration Schemes for Increased Network Dependability,” T. M. Pinkston, R. Pang, and J. Duato, in IEEE Transactions on Parallel and Distributed Systems, 14(8), pp. 780–794, 2003.
  • “A Theory for Deadlock-free Dynamic Reconfiguration of Interconnection Networks: Part I,” J. Duato, O. Lysne, R. Pang, and T. M. Pinkston, in IEEE Transactions on Parallel and Distributed Systems, 16(5), pp. 412–427, 2005.
  • “Characterizing the Cell EIB on-chip network,” T. W. Ainsworth and T. M. Pinkston, in IEEE Micro, Special Issue on On-Chip Interconnects for Multicores, IEEE Computer Society, 27(5), pp. 6–14, 2007.
  • “A Lightweight Fault-Tolerant Mechanism for Network-on-Chip,” M. Koibuchi, H. Matsutani, H. Amano, and T. M. Pinkston, in Proceedings of the 2nd ACM/IEEE International Symposium on Networks-on-Chip (NOCS), pp. 13–22, 2008.
  • “Critical Bubble Scheme: An efficient implementation of globally-aware network flow control,” L. Chen, R. Wang, and T. M. Pinkston, in Proceedings of the 25th IEEE International Parallel and Distributed Processing Symposium (IPDPS), pp. 592–603, 2011.
  • “NoRD: Node-Router Decoupling for effective power-gating of on-chip routers,” L. Chen and T. M. Pinkston, in Proceedings of the 45th Annual ACM/IEEE International Symposium on Microarchitecture (MICRO), pp. 270–281, 2012.
  • “Interconnection Networks,” T. M. Pinkston and J. Duato, in Computer Architecture: A Quantitative Approach, by John L. Hennessy and David A. Patterson, Elsevier Publishers, Appendix E, pp. 1–114 in the 4th edition, September 2006; and Appendix F, pp. 1–117 in 5th edition, September 2011; and Appendix F, pp. 1–117, in 6th edition, September, 2017.

References[edit]

  1. ^ a b c "Timothy M. Pinkston".
  2. ^ "Timothy Pinkston - Google Scholar".
  3. ^ "IEEE Elects Three New Fellows From USC". 26 January 2009.
  4. ^ "2019 ACM Fellows Recognized for Far-Reaching Accomplishments that Define the Digital Age".
  5. ^ "The USC Engineering Story".
  6. ^ Bekey, George A.; Bekey, Michelle; Robert, Calverley (2015-04-08). A Remarkable Trajectory: From Humble Beginnings to Global Prominence. ISBN 978-1511619264.
  7. ^ Pinkston, T. M. (1992). "The glori strategy for multiprocessors : integrating optics into the interconnect architecture".
  8. ^ "Timothy Pinkston Appointed USC Viterbi School Associate Dean". YouTube.
  9. ^ "The Leadership Team".
  10. ^ "Electrical Engineering – Ming Hsieh Department of Electrical Engineering".
  11. ^ "SMART Lab".
  12. ^ Hennessy, John L.; Patterson, David A.; Asanović, Krste (2012). Computer Architecture: A Quantitative Approach. ISBN 978-0123838728.
  13. ^ "Expeditions in Computing".
  14. ^ "Foundations of Computing Processes and Artifacts (CPA)".
  15. ^ "Multicore Chip Design and Architecture: (MCDA)".
  16. ^ "Technical Committee on Computer Architecture".
  17. ^ "SIGARCH CARES to Report on Discrimination and Harassment". March 2018.
  18. ^ Warnakulasuriya, S.; Pinkston, T.M. (1997). "Characterization of deadlocks in interconnection networks". Proceedings 11th International Parallel Processing Symposium. pp. 80–86. doi:10.1109/IPPS.1997.580852. ISBN 0-8186-7793-7. S2CID 5104361.
  19. ^ Zhou, Mengchu; Fanti, Maria Pia (2004-12-27). Deadlock Resolution in Computer-Integrated Systems. ISBN 9780824753689.
  20. ^ Anjan, K.V.; Pinkston, T.M. (1995). "An efficient, fully adaptive deadlock recovery scheme: DISHA". Proceedings 22nd Annual International Symposium on Computer Architecture. pp. 201–210. doi:10.1109/ISCA.1995.524561. ISBN 0-89791-698-0. S2CID 195868754.
  21. ^ Yong Ho Song; Pinkston, T.M. (2003). "A progressive approach to handling message-dependent deadlock in parallel computer systems". IEEE Transactions on Parallel and Distributed Systems. 14 (3): 259–275. doi:10.1109/TPDS.2003.1189584.
  22. ^ Duato, J.; Pinkston, T.M. (2001). "A general theory for deadlock-free adaptive routing using a mixed set of resources". IEEE Transactions on Parallel and Distributed Systems. 12 (12): 1219–1235. doi:10.1109/71.970556.
  23. ^ Pinkston, T.M.; Ruoming Pang; Duato, J. (2003). "Deadlock-free dynamic reconfiguration schemes for increased network dependability". IEEE Transactions on Parallel and Distributed Systems. 14 (8): 780–794. doi:10.1109/TPDS.2003.1225057.
  24. ^ Chen, Lizhong; Pinkston, Timothy M. (2012). "NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers". 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. pp. 270–281. doi:10.1109/MICRO.2012.33. ISBN 978-1-4673-4819-5. S2CID 14539745.
  25. ^ "Alumni pay forward to support diversity in engineering". 22 July 2019.

External links[edit]