EPYC

ウィキペディアから無料の百科事典

AMD EPYC
生産時期 2017年6月から
販売者 AMD
設計者 AMD
生産者 GlobalFoundries
TSMC
CPU周波数 1.5 GHz から 4.0 GHz
プロセスルール 14 nm から 7 nm
マイクロアーキテクチャ Zen, Zen 2, Zen 3
命令セット AMD64/x86-64
拡張命令 MMX(+), SSE, SSE2, SSE3, SSSE3, SSE4a, SSE4.1, SSE4.2, AES, CLMUL, AVX, AVX2, FMA3, F16C(旧称CVT16), ABM, BMI1, BMI2, SHA
コア数 4~128
ソケット
  • Socket SP3
  • Socket SP4
コードネーム
  • Naples
  • Snowy Owl
  • Rome
  • Milan
前世代プロセッサ Opteron
テンプレートを表示

EPYC (エピック) は、AMDZenマイクロアーキテクチャに基づいて設計・開発しているx86-64マイクロプロセッサのブランドである。サーバー組み込みシステム市場を主なターゲットとしている。2017年6月に発表された[1]。EPYCプロセッサはAMDの通常のデスクトップグレードのCPUと同じマイクロアーキテクチャを採用しているが、多数のコア、PCI Expressレーンの追加、大容量RAMや大容量キャッシュメモリのサポートなど、エンタープライズクラスのさまざまな機能を追加で提供している。また、マルチチップやデュアルソケットのシステム向けの設定もサポートしており、これはチップ間を相互接続するInfinity Fabricにより実現されている。

AMDの下位製品としては、コンシューマ向けのRyzenシリーズやAthlonシリーズが存在する。

歴史[編集]

  • 2017年3月7日 : Zenマイクロアーキテクチャベースのサーバー向けCPUについて発表。コードネームは「Naples(ナポリ)」[2]
  • 2017年5月30日 : サーバー向けCPUのブランド名が「EPYC」であることを発表[3]
  • 2017年6月20日 : サーバー向けプロセッサ「EPYC 7000」を正式発表[4]
  • 2018年2月21日 : 組み込み向けプロセッサ「EPYC Embedded 3000」を発表[5]
  • 2018年11月6日 : AMD Next HorizonにてZen 2マイクロアーキテクチャベースのサーバー向けCPUについて発表。コードネームは「Rome(ローマ)」[6]
  • 2019年8月7日 : 第2世代EPYCプロセッサ「EPYC 7002」を正式発表[7]
  • 2021年3月15日:第3世代EPYCプロセッサ「EPYC 7003」を正式発表[8]

設計[編集]

EPYCプラットフォームには、1ソケットと2ソケットのシステムが存在する。複数プロセッサの構成では、2つのEPYC CPUはAMDのInfinity Fabricで通信を行う[9]。各サーバーチップは8チャンネルのメモリと、128レーンのPCI Express 3.0または4.0をサポートする。デュアルプロセッサの設定で取り付けた場合、128レーンのうち、64レーンはInfinity FabricによるCPU間の通信に使用される[10]

第1世代のEPYCプロセッサは、8コアのZeppelinダイ(これはRyzenプロセッサと同じダイである)をマルチチップ・モジュール内に4つ同梱している。Zeppelinダイ上の各Core Complexの対称の位置にあるコアを無効化することにより、さまざまなコア数の製品が提供されている[11][12]サムスン電子からライセンス提供を受けた14 nm英語版FinFETプロセス英語版を使用して、GlobalFoundriesが製造している[13]

第2世代、第3世代のEPYCプロセッサは、TSMCの7nm FinFETプロセスで製造される、8コアCPUを集積した最大8つの「CCD(CPU Complex Die)」と、GlobalFoundriesの12nmプロセスで製造される、DDR4メモリ、PCI Express 4.0、USBコントローラなどのIOを集積した「sIOD(Server I/O Die)」の組み合わせでパッケージを構成する[14]

製品[編集]

第1世代[編集]

サーバー向け (Naples/ナポリ)[編集]

2017年6月20日に発表された。ZenマイクロアーキテクチャベースのCPUコア8個とDDR4メモリ、PCI Express3.0、USBコントローラなどのIOを集積した「Zeppelin」SoCダイ4個でパッケージを構成する[15]。最大2ソケットをサポートし、ソケット間はInfinity Fabricで接続される。ソケット間はPCI Expressレーンを利用しており通信速度は10.7GT/sec、レイテンシは234nsである[16]

  • マイクロアーキテクチャ - Zen
  • 製造プロセス - 14 nm
  • メモリ - DDR4 (Registered ECC)
  • ソケット - Socket SP3
製品ファミリ 製品ライン モデルナンバ ソケット構成 コア数 (スレッド数) クロック周波数 (GHz) キャッシュ TDP メモリ PCI Express
基本 ブースト L2 L3 バージョン レーン数
EPYC[17] EPYC 7001

シリーズ[18]

7601 1P/2P 32 (64) 2.2 3.2 32×512 KB 64 MB 180 W DDR4-2666 (1Pあたり最大2 TB・8チャネル) 3.0 128
7551 2.0 3.0
7551P 1P
7501 1P/2P 155 – 170 W
7451 24 (48) 2.3 3.2 24×512 KB 180 W
7401 2.0 3.0 155 – 170 W
7401P 1P
7371 1P/2P 16 (32) 3.1 3.8 16×512 KB 180 W
7351 2.4 2.9 155 – 170 W
7351P 1P
7301 1P/2P 2.2 2.7
7281 2.1 32 MB
7261 8 (16) 2.5 2.9 8×512 KB 64 MB 120 W DDR4-2400 (1Pあたり最大2 TB・8チャネル)
7251 2.1 32 MB

組み込みシステム向け (Snowy Owl)[編集]

  • マイクロアーキテクチャ - Zen
  • 製造プロセス - 14 nm
  • メモリ - DDR4 (Registered ECC)
  • ソケット - Socket SP4
製品ファミリ モデルナンバ コア数 (スレッド数) クロック周波数 (GHz) キャッシュ TDP メモリ PCI Express
基本 ブースト L2 L3 バージョン レーン数
EPYC Embedded[19] 3451 16 (32) 2.15 3.0 16×512 KB 32 MB 100 W DDR4-2666 (最大1 TB・4チャネル) 3.0 64
3401 16 (16) 1.85 85 W
3351 12 (24) 1.9 12×512 KB 80 W
3301 12 (12) 2.0 65 W
3251 8 (16) 2.5 3.1 8×512 KB 16 MB 55 W DDR4-2666 (最大512 GB・2チャネル) 32
3201 8 (8) 1.5 30 W DDR4-2133 (最大512 GB・2チャネル)
3151 4 (8) 2.7 2.9 4×512 KB 45 W DDR4-2666 (最大512 GB・2チャネル)
3101 4 (4) 8 MB 30 W

第2世代[編集]

サーバー向け (Rome/ローマ)[編集]

2019年8月7日に発表された。Zen 2マイクロアーキテクチャベースのCPU8コアを集積した最大8つの「CCD(CPU Complex Die)」とDDR4メモリ、PCI Express 4.0、USBコントローラなどのIOを集積した「sIOD(Server I/O Die)」の組み合わせでパッケージを構成する。最大2ソケットをサポートし、ソケット間は第一世代と同様にInfinity Fabricで接続されるが相互接続専用PHYを利用しており通信速度は18GT/sec、レイテンシは201nsと改善されている[16]。395億トランジスタを集積[20]

  • マイクロアーキテクチャ - Zen 2
  • 製造プロセス [21][22]
  • メモリ - DDR4 (Registered ECC)
  • ソケット - Socket SP3
製品ファミリ 製品ライン モデルナンバ ソケット構成 コア数 (スレッド数) クロック周波数 (GHz) キャッシュ TDP メモリ PCI Express
基本 ブースト L2 L3 バージョン レーン数
EPYC[17] EPYC 7002

シリーズ[23]

7742 1P/2P 64 (128) 2.25 3.4 64×512 KB 256 MB 225 W DDR4-3200 (1Pあたり最大 4TB・8チャネル) 4.0 128
7702 2.0 3.35 180 W
7702P 1P 200 W
7642 1P/2P 48 (96) 2.4 3.4 48×512 KB 192 MB 225 W
7552 2.2 3.35 180 W
7532 32 (64) 2.4 3.3 32×512 KB 256 MB 200 W
7542 2.9 3.4 128 MB 225 W
7502 2.5 3.35 180 W
7502P 1P
7452 1P/2P 2.35 155 W
7402 24 (48) 2.8 24×512 KB 180 W
7402P 1P
7352 1P/2P 2.3 3.2 155 W
7302 16 (32) 3.0 3.3 16×512 KB
7302P 1P
7282 1P/2P 2.8 3.2 64 MB 120 W
7272 12 (24) 2.6 12×512 KB 32 MB
7262 8 (16) 3.2 3.4 8×512 KB 128 MB 155 W
7252 2.8 3.2 64 MB 120 W
7232P 1P

第3世代[編集]

サーバー向け(Milan/ミラノ)[編集]

2021年3月16日に発表された。Zen 3マイクロアーキテクチャを採用したことで、19%のIPC(クロックあたりの命令実行数)向上を達成した。全モデル共通でPCI-Express 4.0、8チャネル/最大4TBのDDR4-3200メモリ、独自のInfinity Guard Security技術などをサポートしている[8]。また、2022年3月22日から3D V-Cache版として4モデルが追加された。3D V-Cache版は積層技術を利用し、L3キャッシュが768 MBとなっている[24]

  • マイクロアーキテクチャ - Zen 3
  • 製造プロセス [21][22]
  • メモリ - DDR4 (Registered ECC)
  • ソケット - Socket SP3
製品ファミリ 製品ライン モデルナンバ ソケット構成 コア数 (スレッド数) クロック周波数 (GHz) キャッシュ TDP cTDP

(最小/最大)

メモリ PCI Express
基本 ブースト L2 L3 バージョン レーン数
EPYC[17] EPYC 7003

シリーズ[25]

7773X 1P/2P 64 (128) 2.2 3.5 64×512 KB 768 MB 280 W 225 W/280 W DDR4-3200 (1Pあたり最大 4TB・8チャネル) 4.0 128
7763 2.45 256 MB
7713 2.0 3.675 225 W 225 W/240 W
7713P 1P
7663 1P/2P 56 (112) 3.5 56×512 KB 240 W
7643 48 (96) 2.3 3.6 48×512 KB 225 W
7573X 32 (64) 2.8 32×512 KB 768 MB 280 W 225 W/280 W
75F3 2.95 4.0 256 MB
7543 2.8 3.7 225 W 225 W/240 W
7543P 1P
7513 1P/2P 32 (64) 2.6 3.65 128 MB 200 W 165 W/200 W
7453 28 (56) 2.75 3.45 28×512 KB 64 MB 225 W 225 W/240 W
7473X 24 (48) 2.8 3.7 24×512 KB 768 MB 240 W 225 W/280 W
74F3 3.2 4.0 256 MB 225 W/240 W
7443 2.85 128 MB 200 W 165 W/200 W
7443P 1P
7413 1P/2P 24 (48) 2.65 3.6 180 W
7373X 16 (32) 3.05 3.8 16×512 KB 768 MB 240 W 225 W/280 W
73F3 3.5 4.0 256 MB 225 W/240 W
7343 3.2 3.9 128 MB 190 W 165 W/200 W
7313 3.0 3.7 155 W 155 W/180 W
7313P 1P
72F3 1P/2P 8 (16) 3.7 4.1 8×512 KB 256 MB 180 W 165 W/200 W

脚注[編集]

  1. ^ Cutress, Ian. “Computex 2017: AMD Press Event Live Blog”. www.anandtech.com. 2019年10月19日閲覧。
  2. ^ AMD、サーバー向け新プロセッサ「Naples」をプレピュー公開 - クラウド Watch”. 2019年9月7日閲覧。
  3. ^ AMD、32コアのEPYCを6月20日、次世代のRadeon VegaはSIGGRAPHで発表 - PC Watch”. 2019年9月7日閲覧。
  4. ^ AMD,新世代サーバー向けCPU「EPYC 7000」を正式発表。8C16Tから32C64Tまでの計12製品をラインナップ - 4Gamer.net”. 2019年9月7日閲覧。
  5. ^ AMD、Zenアーキテクチャを組み込み向けに展開~最大16コアの「EPYC Embedded」とVega GPU内蔵の「Ryzen Embedded」 - PC Watch”. 2019年9月7日閲覧。
  6. ^ AMD、7nmのEPYCとVEGAの詳細を公開”. 2019年9月7日閲覧。
  7. ^ AMD、第2世代EPYCプロセッサを発表 - 最大64コア/128スレッドを1ソケットで”. 2019年9月7日閲覧。
  8. ^ a b 株式会社インプレス (2021年3月16日). “AMD、Zen 3ベースのサーバー向けCPU「EPYC 7003」”. PC Watch. 2021年3月17日閲覧。
  9. ^ Kampman, Jeff (2017年3月7日). “AMD's Naples platform prepares to take Zen into the datacenter”. Tech Report. https://techreport.com/news/31549/amd-naples-platform-prepares-to-take-zen-into-the-datacenter 2017年3月7日閲覧。 
  10. ^ Cutress, Ian (2017年3月7日). “AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2”. Anandtech. http://www.anandtech.com/show/11183/amd-prepares-32-core-naples-cpus-for-1p-and-2p-servers-coming-in-q2 2017年3月7日閲覧。 
  11. ^ Shrout, Ryan (2017年6月20日). “AMD EPYC 7000 Series Data Center Processor Launch – Gunning for Xeon | Architectural Outlook”. www.pcper.com. 2019年8月9日閲覧。
  12. ^ Morgan, Timothy Prickett (2017年5月17日). “AMD Disrupts The Two-Socket Server Status Quo”. www.nextplatform.com. 2020年2月25日閲覧。
  13. ^ Morris, John (2018年3月13日). “Inside GlobalFoundries' long road to the leading edge”. ZDNet. https://www.zdnet.com/article/inside-globalfoundries-long-road-to-the-leading-edge/ 2019年7月17日閲覧。 
  14. ^ 株式会社インプレス (2019年8月8日). “AMD、最大64コアになった第2世代EPYCを投入 ~PCIe Gen4 128レーン、TDP 225Wで、競合の2倍の性能を発揮”. PC Watch. 2021年3月17日閲覧。
  15. ^ 【後藤弘茂のWeekly海外ニュース】AMDがISSCCでZENベースSoC「Zeppelin」の詳細を明らかに - PC Watch”. 2019年9月14日閲覧。
  16. ^ a b AMD 第2世代EPYCプロセッサの実態を紐解く - 構造・性能・普及状況【Deep Dive】 (1) 2nd Gen EPYC - Internal Architecture DeepDive”. 2019年9月14日閲覧。
  17. ^ a b c AMD EPYC™サーバー・プロセッサー”. 2019年8月8日閲覧。
  18. ^ EPYC™ 7001シリーズ”. 2019年8月8日閲覧。
  19. ^ AMD EPYC™組み込み型3000シリーズ”. 2019年6月20日閲覧。
  20. ^ IEEE-Spectrum2022-7, p. 11.
  21. ^ a b AMDやIBM、Armが「Hot Chips 31」でCPUアーキテクチャを公開 - PC Watch”. 2019年9月5日閲覧。
  22. ^ a b AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome”. 2019年9月2日閲覧。
  23. ^ AMD EPYC™ 7002 Series Processors”. 2019年8月8日閲覧。
  24. ^ AMD EPYC™ 7003 シリーズ・プロセッサー”. Advanced Micro Devices, Inc.. 2022年10月30日閲覧。
  25. ^ AMD EPYC™ 7003 Series Processors”. 2021年3月17日閲覧。

参考文献[編集]

  • S. Smith, Matthew (2022). “Single-Chip Processors Have Reached Their Limits”. IEEE Spectrum 59 (7). 

関連項目[編集]

外部リンク[編集]